Addon para aprender VHDL o Verilog

Todo aquello que acompaña a la placa del ZX-Uno (carcasas, alimentadores, pegatinas para el teclado, etc) / Everything that goes with the ZX-Uno board (cases, AC adapters, stickers for keyboard, etc)
Avatar de Usuario
DistWave
Mensajes: 183
Registrado: 14 Oct 2015, 19:24
Ubicación: Zaragoza

Re: Addon para aprender VHDL o Verilog

Mensaje por DistWave » 28 Jul 2016, 11:41

Sería una opción interesante para enfocar el zxuno como plataforma de aprendizaje. Yo hice un addon casero para el prototipo v3 que es similar a lo que propones, con intención de usarlo para depurar. Nunca está de más tener un par de botones para introducir eventos y un display para ver cómo reacciona a ellos.

Imagen

Avatar de Usuario
antoniovillena
Mensajes: 2621
Registrado: 27 Sep 2015, 20:41

Re: Addon para aprender VHDL o Verilog

Mensaje por antoniovillena » 28 Jul 2016, 12:24

A ver qué os parece este boceto. Está sin rutear y es del tamaño del ZX-Uno para ponerlo justo encima. Eagle en la carpeta hardware/trainer del repositorio.
Adjuntos
trainer.png

Avatar de Usuario
antoniovillena
Mensajes: 2621
Registrado: 27 Sep 2015, 20:41

Re: Addon para aprender VHDL o Verilog

Mensaje por antoniovillena » 28 Jul 2016, 13:22

Me he dado cuenta que para la matriz 2x8 tengo que poner resistencias. También será más complejo el manejo, lo cual dificulta el aprendizaje, así que vuelvo al plan inicial sin multiplexar. Reduzco la altura 5mm para que cueste más barata la PCB en seeedstudio y similares (sería 5x10cm).
Adjuntos
trainer.png

Avatar de Usuario
antoniovillena
Mensajes: 2621
Registrado: 27 Sep 2015, 20:41

Re: Addon para aprender VHDL o Verilog

Mensaje por antoniovillena » 28 Jul 2016, 16:23

Ya está listo el ruteado
Adjuntos
trainer.png

Avatar de Usuario
antoniovillena
Mensajes: 2621
Registrado: 27 Sep 2015, 20:41

Re: Addon para aprender VHDL o Verilog

Mensaje por antoniovillena » 28 Jul 2016, 16:26

DistWave escribió:Sería una opción interesante para enfocar el zxuno como plataforma de aprendizaje. Yo hice un addon casero para el prototipo v3 que es similar a lo que propones, con intención de usarlo para depurar. Nunca está de más tener un par de botones para introducir eventos y un display para ver cómo reacciona a ellos.

Imagen
Una pregunta, ¿qué es esa plaquita con un chip DIP que hay arriba a la derecha?

Avatar de Usuario
DistWave
Mensajes: 183
Registrado: 14 Oct 2015, 19:24
Ubicación: Zaragoza

Re: Addon para aprender VHDL o Verilog

Mensaje por DistWave » 28 Jul 2016, 16:34

Un MAX3232 para convertir los voltajes del puerto serie a 3v

Avatar de Usuario
Hark0
Mensajes: 683
Registrado: 27 Sep 2015, 00:31
Ubicación: Cornellà de Llobregat - BCN
Contactar:

Re: Addon para aprender VHDL o Verilog

Mensaje por Hark0 » 29 Jul 2016, 11:12

antoniovillena escribió:Ya está listo el ruteado

Tiene muy buena pinta!!! ;)
http://www.zxuno.com
ZX-Uno · Clon de ordenador ZX Spectrum basado en FPGA.

k_mirror
Mensajes: 32
Registrado: 06 Oct 2015, 22:33

Re: Addon para aprender VHDL o Verilog

Mensaje por k_mirror » 29 Jul 2016, 16:04

antoniovillena escribió:Ya está listo el ruteado
Saludos, si la idea es hacer una especie de "HAT", creo que el conector de video compuesto estorbará un poco, habría que darle un poco de forma al contorno de la nueva placa para que la rodee.

Avatar de Usuario
antoniovillena
Mensajes: 2621
Registrado: 27 Sep 2015, 20:41

Re: Addon para aprender VHDL o Verilog

Mensaje por antoniovillena » 29 Jul 2016, 16:12

k_mirror escribió:
antoniovillena escribió:Ya está listo el ruteado
Saludos, si la idea es hacer una especie de "HAT", creo que el conector de video compuesto estorbará un poco, habría que darle un poco de forma al contorno de la nueva placa para que la rodee.
Sí. Eso lo hice ayer. Ahora tengo que rerutear. Échale un ojo al repositorio si quieres ver el estado actual.

Avatar de Usuario
antoniovillena
Mensajes: 2621
Registrado: 27 Sep 2015, 20:41

Re: Addon para aprender VHDL o Verilog

Mensaje por antoniovillena » 29 Jul 2016, 17:48

Listo de nuevo
Adjuntos
trainer.png

Responder