Se encontraron 109 coincidencias

por rcmolina
24 Nov 2017, 20:44
Foro: Amstrad CPC series
Tema: CPC6128 con FAT32
Respuestas: 25
Vistas: 21879

Re: CPC6128 con FAT32

Gracias Jepalza, una pena que no funcione con un 512K normal para probarlo.
por rcmolina
15 Nov 2017, 22:01
Foro: Preguntas y peticiones / Questions and requests
Tema: Enterprise 128
Respuestas: 30
Vistas: 23779

Re: Enterprise 128

Otro más :okidoki;
por rcmolina
03 Nov 2017, 23:18
Foro: Core ZX Spectrum
Tema: Spec256 - Is it possible?
Respuestas: 49
Vistas: 49912

Re: Spec256 - Is it possible?

Si por favor, yo no tengo la expansión y me gustaria probarlo en la versión original de 512 MB. :silbando:
por rcmolina
03 Nov 2017, 05:44
Foro: Core ZX Spectrum
Tema: Spec256 - Is it possible?
Respuestas: 49
Vistas: 49912

Re: Spec256 - Is it possible?

:okidoki;
por rcmolina
28 Oct 2017, 13:19
Foro: Core ZX Spectrum
Tema: Spec256 - Is it possible?
Respuestas: 49
Vistas: 49912

Re: Spec256 - Is it possible?

Contad tambien conmigo en caso de crowdfunding para portar este core. :D
por rcmolina
24 Oct 2017, 19:47
Foro: Preguntas y peticiones / Questions and requests
Tema: Enterprise 128
Respuestas: 30
Vistas: 23779

Re: Enterprise 128

Contad también conmigo si al final decidimos hacer una "porra" para McLeod. :D
por rcmolina
16 Oct 2017, 17:01
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 158054

Re: New MSX1 core

Los cambios de Joseba: en el ZXUNO_TOP.VHD: -- Audio audio: entity work.Audio_DACs port map ( clock_i => clock_master_s, reset_i => reset_s, audio_scc_i => audio_scc_s, audio_psg_i => ear_i & audio_psg_s, -- jepalza, sumo el bit de EAR para escucharlo en la salida beep_i => beep_s, jt51_left_i => jt...
por rcmolina
16 Oct 2017, 15:51
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 158054

Re: New MSX1 core

Hola a todos, Llevo varios días intentando contactar con Fabio para que modifique el core para que se escuche la entrada de cinta mientras se carga (me imagino que anda muy liado con en NEXT). Al final he conseguido que me ayuden, siempre con el ánimo que a Fabio no le moleste y que otros usuarios l...
por rcmolina
22 Sep 2017, 05:15
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 158054

Re: New MSX1 core

Hola Manu, la reproducción de .tsx funciona bien sobre este core, sacar el msx original me da bastante pereza. Lo que me han contado los que están trabajando sobre este formato es que están tratando de pulir y optimizar el código implementado para mejorar la velocidad. En general yo lo que he visto ...
por rcmolina
21 Sep 2017, 21:49
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 158054

Re: New MSX1 core

@fbelavenuto, no sound with msx core when tape (CAS:) loading ? :(
why?